Ideas and Innovation Blog

/
 
 
 

Addressing CMP slurry market drivers with the Optiplane™ Platform, Part 1

August 17, 2016

Blogs
Addressing CMP slurry market drivers with the Optiplane™ Platform, Part 1
 
 
 

Interview with Adam Manzonie, Global Slurry Business Director for CMP Technologies  

In June, Dow Electronic Solutions announced the launch of the Optiplane™ CMP slurry platform for advanced semiconductor manufacturing. The Optiplane™ slurry family brings to market Dow’s most advanced slurries for dielectric chemical mechanical planarization (CMP) applications. We recently sat down with Adam Manzonie, Global Slurry Business Director, CMP Technologies, Dow Electronic Solutions, to learn more about what led to the development of the Optiplane™ CMP slurry platform.

 Dow’s Adam Manzonie, Global Slurry Business Director, CMP Technologies

Q: Let’s start by discussing CMP requirements in general. What are the market drivers for CMP slurries, and what is changing in semiconductor manufacturing that is requiring new slurry formulations?

A: In CMP, up through the 28nm node, you had well-defined elements and there wasn’t a lot of diversity needed in consumables. At 28nm, things start to get more complicated, and once you get down below 14nm, for both logic and memory, the requirements are much more sophisticated. When you look at something like FinFET integration, customers are having to deal with as many as six to eight different slurries just in the front-end, and that doesn’t even include polishes for metal layers. On top of the number of different slurries that are needed to meet selectivity and different film requirements, the accuracy that is needed for those polishes is also increasing.

Q: What issues are foundries facing with their current CMP processes? Where are the pain points? 

A: Defectivity requirements are becoming more challenging, especially below 14nm. There is very little room for error. Our customers require submicron defect densities across a 300mm wafer. Single-digit-defect counts across an entire wafer define the difference between a good versus a bad wafer. That’s a defect density that is challenging by any measure.

We’re also very aware of increased pressure to drive down costs. Over $1 billion a year is being spent on CMP slurries around the world, and manufacturers looking for ways to reduce costs anywhere in their process. We can help drive down the overall cost of ownership (COO) for the customer.

Q: What do you mean by cost of ownership, and what is Dow doing to impact this? 
A
: Cost of ownership in the CMP process is largely driven by the three primary consumables; slurry, polishing pad and the pad conditioner. At Dow, we’ve always worked with customers to optimize the life and performance of these consumables, but with Optiplane™ slurries we’re able to take it to another level.

In parallel to developing the slurry platform, we’ve also paired it with the best matched polishing pad, also a Dow product; and we evaluated industry-leading conditioners as well. These efforts will provide our customers with exceptionally long pad life, optimize slurry flow to reduce waste and provide a very stable process within each wafer and from wafer to wafer throughout the consumable set’s life.

Q: With optimized performance, are there other places where a manufacturer can see cost of ownership improvements? 
A
: The Optiplane™ slurry is a departure from what has been the standard in nonmetal polishing. For years, the slurries have been basic, or alkaline. The percent abrasive in those slurries has been high, from 10 percent to as high as 30 percent. For Optiplane™ slurry, we moved to an acidic regime, with less than 6 percent solids. In the acidic regime the abrasive is attracted to the surface of the wafer, so a higher percentage of the abrasive is doing the work of polishing the surface of the wafer. You no longer need such a high loading of solids, and this provides the ability to polish with much less abrasive to achieve the same level of performance.

The other aspect of Optiplane™ that we’re excited about is improved planarization. Paired with our industry leading VisionPad™ pads, Optiplane™ slurries will planarize the wafer surface faster than any slurry on the market. This means customers can reduce overall polish times and even reduce layer thicknesses. These kinds of improvements lead to significant cost and productivity improvements.

Q: So why didn’t the industry switch to acidic formulations earlier? 
A
: They say that necessity is the mother of invention, and I think that is the case here as well. As defect requirements have grown tighter in advanced nodes, we saw that we needed to find innovative ways to reduce the concentration of the abrasives in our slurry. The challenge with that however is that for dielectric polishing, removal rate and planarization are largely driven by abrasives.

Making the switch to acidic solutions has been a matter of optimizing abrasive size and morphology and then pairing that ‘package’ with additives that help maintain desired rate and planarization but at much lower overall abrasive concentrations.

 

Update: Part two of this interview was published on September 13, 2016, in which we reconnect with Adam to talk more about working with customers through the introduction of the new Optiplane™ slurry platform.  Read part two to learn more about the Optiplane™ platform's commercialization.

 
 
 
 
 
 
 
 
 

We’re here to help.

We love to talk about how our electronics solutions can build business, commercialize products,
and solve the challenges of our time.

 
 
 
-